risc-v中文社区

 找回密码
 立即注册
查看: 1099|回复: 1

[原创] 读取文件内容生成组合逻辑

[复制链接]

347

主题

564

帖子

2237

积分

管理员

Rank: 9Rank: 9Rank: 9

积分
2237
发表于 2021-9-3 10:56:09 | 显示全部楼层 |阅读模式
在chisel中,我们可以通过Vec创建逻辑表从而很容易生成逻辑。有时候数据在文件中,我们通过读其中的
数据从而产生逻辑表。逻辑表的数据可以用VecInit来存储,比如:
val logictable = VecInit(array.map(_.U(8.W)))
下面举例,读取一个文本文件内容生成逻辑表,代码如下:
import chisel3._
import scala.io.Source
class FileReader extends Module {
        val io = IO(new Bundle {
                val address = Input(UInt(8.W))
                val data = Output(UInt(8.W))
        })
        val array = new Array[Int](256)
        var idx = 0;
        //读文件内容并存入ARRAY
        val source = Source.fromFile("h:/data.txt") //一个数据占一行 ,分别是1   2   3 ....
        for(line <- source.getLines()) {
                array(idx) = line.toInt
                idx += 1
        }
        //将ARRAY中数据转换成chisel的Vec类型
        //每个数据加1
        val table = VecInit(array.map(d => (d+1).U(8.W)))   //VecInit的apply中需要Seq[T] T是硬件类型
        //从address所选的地址单元输出  
       //VecInit需要Seq[T],而且T是硬件类型,array则是一个数据区块空间, 可以用Aggregate.scala中的class Vec的def apply(p:UInt):T获取区块中某个地址索引的值
        io.data := table(io.address)
}
测试:
import chisel3._
import chisel3.iotesters._
class SourceFileReaderModuleTester(m:SourceFileReaderModule) extends PeekPokeTester (m){
  poke(m.io.addr,2)  //所以:正确值应该是4而不是2或3
  expect(m.io.data,2) //[info] [26.799] EXPECT AT 0   io_data got 4 expected 2 FAIL
  expect(m.io.data,3) //[info] [26.811] EXPECT AT 0   io_data got 4 expected 3 FAIL
  expect(m.io.data,4)
}
object SourceFileReaderModuleTester {
  def main(args: Array[String]): Unit = {
    iotesters.Driver(()=>new SourceFileReaderModule)(t=>new SourceFileReaderModuleTester(t))
  }
}

回复

使用道具 举报

347

主题

564

帖子

2237

积分

管理员

Rank: 9Rank: 9Rank: 9

积分
2237
 楼主| 发表于 2021-9-3 10:56:48 | 显示全部楼层
最终产生的verilog代码如下:
module SourceFileReaderModule(
  input        clock,
  input        reset,
  input  [7:0] io_addr,
  output [7:0] io_data
);
  wire [7:0] _GEN_1 = 8'h1 == io_addr ? 8'h3 : 8'h2; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_2 = 8'h2 == io_addr ? 8'h4 : _GEN_1; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_3 = 8'h3 == io_addr ? 8'h5 : _GEN_2; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_4 = 8'h4 == io_addr ? 8'h6 : _GEN_3; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_5 = 8'h5 == io_addr ? 8'h7 : _GEN_4; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_6 = 8'h6 == io_addr ? 8'h8 : _GEN_5; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_7 = 8'h7 == io_addr ? 8'h9 : _GEN_6; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_8 = 8'h8 == io_addr ? 8'ha : _GEN_7; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_9 = 8'h9 == io_addr ? 8'hb : _GEN_8; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_10 = 8'ha == io_addr ? 8'hc : _GEN_9; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_11 = 8'hb == io_addr ? 8'hd : _GEN_10; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_12 = 8'hc == io_addr ? 8'he : _GEN_11; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_13 = 8'hd == io_addr ? 8'hf : _GEN_12; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_14 = 8'he == io_addr ? 8'h10 : _GEN_13; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_15 = 8'hf == io_addr ? 8'h11 : _GEN_14; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_16 = 8'h10 == io_addr ? 8'h12 : _GEN_15; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_17 = 8'h11 == io_addr ? 8'h13 : _GEN_16; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_18 = 8'h12 == io_addr ? 8'h14 : _GEN_17; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_19 = 8'h13 == io_addr ? 8'h15 : _GEN_18; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_20 = 8'h14 == io_addr ? 8'h1 : _GEN_19; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_21 = 8'h15 == io_addr ? 8'h1 : _GEN_20; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_22 = 8'h16 == io_addr ? 8'h1 : _GEN_21; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_23 = 8'h17 == io_addr ? 8'h1 : _GEN_22; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_24 = 8'h18 == io_addr ? 8'h1 : _GEN_23; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_25 = 8'h19 == io_addr ? 8'h1 : _GEN_24; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_26 = 8'h1a == io_addr ? 8'h1 : _GEN_25; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_27 = 8'h1b == io_addr ? 8'h1 : _GEN_26; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_28 = 8'h1c == io_addr ? 8'h1 : _GEN_27; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_29 = 8'h1d == io_addr ? 8'h1 : _GEN_28; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_30 = 8'h1e == io_addr ? 8'h1 : _GEN_29; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_31 = 8'h1f == io_addr ? 8'h1 : _GEN_30; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_32 = 8'h20 == io_addr ? 8'h1 : _GEN_31; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_33 = 8'h21 == io_addr ? 8'h1 : _GEN_32; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_34 = 8'h22 == io_addr ? 8'h1 : _GEN_33; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_35 = 8'h23 == io_addr ? 8'h1 : _GEN_34; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_36 = 8'h24 == io_addr ? 8'h1 : _GEN_35; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_37 = 8'h25 == io_addr ? 8'h1 : _GEN_36; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_38 = 8'h26 == io_addr ? 8'h1 : _GEN_37; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_39 = 8'h27 == io_addr ? 8'h1 : _GEN_38; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_40 = 8'h28 == io_addr ? 8'h1 : _GEN_39; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_41 = 8'h29 == io_addr ? 8'h1 : _GEN_40; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_42 = 8'h2a == io_addr ? 8'h1 : _GEN_41; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_43 = 8'h2b == io_addr ? 8'h1 : _GEN_42; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_44 = 8'h2c == io_addr ? 8'h1 : _GEN_43; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_45 = 8'h2d == io_addr ? 8'h1 : _GEN_44; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_46 = 8'h2e == io_addr ? 8'h1 : _GEN_45; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_47 = 8'h2f == io_addr ? 8'h1 : _GEN_46; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_48 = 8'h30 == io_addr ? 8'h1 : _GEN_47; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_49 = 8'h31 == io_addr ? 8'h1 : _GEN_48; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_50 = 8'h32 == io_addr ? 8'h1 : _GEN_49; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_51 = 8'h33 == io_addr ? 8'h1 : _GEN_50; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_52 = 8'h34 == io_addr ? 8'h1 : _GEN_51; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_53 = 8'h35 == io_addr ? 8'h1 : _GEN_52; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_54 = 8'h36 == io_addr ? 8'h1 : _GEN_53; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_55 = 8'h37 == io_addr ? 8'h1 : _GEN_54; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_56 = 8'h38 == io_addr ? 8'h1 : _GEN_55; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_57 = 8'h39 == io_addr ? 8'h1 : _GEN_56; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_58 = 8'h3a == io_addr ? 8'h1 : _GEN_57; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_59 = 8'h3b == io_addr ? 8'h1 : _GEN_58; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_60 = 8'h3c == io_addr ? 8'h1 : _GEN_59; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_61 = 8'h3d == io_addr ? 8'h1 : _GEN_60; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_62 = 8'h3e == io_addr ? 8'h1 : _GEN_61; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_63 = 8'h3f == io_addr ? 8'h1 : _GEN_62; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_64 = 8'h40 == io_addr ? 8'h1 : _GEN_63; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_65 = 8'h41 == io_addr ? 8'h1 : _GEN_64; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_66 = 8'h42 == io_addr ? 8'h1 : _GEN_65; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_67 = 8'h43 == io_addr ? 8'h1 : _GEN_66; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_68 = 8'h44 == io_addr ? 8'h1 : _GEN_67; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_69 = 8'h45 == io_addr ? 8'h1 : _GEN_68; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_70 = 8'h46 == io_addr ? 8'h1 : _GEN_69; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_71 = 8'h47 == io_addr ? 8'h1 : _GEN_70; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_72 = 8'h48 == io_addr ? 8'h1 : _GEN_71; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_73 = 8'h49 == io_addr ? 8'h1 : _GEN_72; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_74 = 8'h4a == io_addr ? 8'h1 : _GEN_73; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_75 = 8'h4b == io_addr ? 8'h1 : _GEN_74; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_76 = 8'h4c == io_addr ? 8'h1 : _GEN_75; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_77 = 8'h4d == io_addr ? 8'h1 : _GEN_76; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_78 = 8'h4e == io_addr ? 8'h1 : _GEN_77; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_79 = 8'h4f == io_addr ? 8'h1 : _GEN_78; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_80 = 8'h50 == io_addr ? 8'h1 : _GEN_79; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_81 = 8'h51 == io_addr ? 8'h1 : _GEN_80; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_82 = 8'h52 == io_addr ? 8'h1 : _GEN_81; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_83 = 8'h53 == io_addr ? 8'h1 : _GEN_82; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_84 = 8'h54 == io_addr ? 8'h1 : _GEN_83; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_85 = 8'h55 == io_addr ? 8'h1 : _GEN_84; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_86 = 8'h56 == io_addr ? 8'h1 : _GEN_85; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_87 = 8'h57 == io_addr ? 8'h1 : _GEN_86; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_88 = 8'h58 == io_addr ? 8'h1 : _GEN_87; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_89 = 8'h59 == io_addr ? 8'h1 : _GEN_88; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_90 = 8'h5a == io_addr ? 8'h1 : _GEN_89; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_91 = 8'h5b == io_addr ? 8'h1 : _GEN_90; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_92 = 8'h5c == io_addr ? 8'h1 : _GEN_91; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_93 = 8'h5d == io_addr ? 8'h1 : _GEN_92; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_94 = 8'h5e == io_addr ? 8'h1 : _GEN_93; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_95 = 8'h5f == io_addr ? 8'h1 : _GEN_94; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_96 = 8'h60 == io_addr ? 8'h1 : _GEN_95; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_97 = 8'h61 == io_addr ? 8'h1 : _GEN_96; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_98 = 8'h62 == io_addr ? 8'h1 : _GEN_97; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_99 = 8'h63 == io_addr ? 8'h1 : _GEN_98; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_100 = 8'h64 == io_addr ? 8'h1 : _GEN_99; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_101 = 8'h65 == io_addr ? 8'h1 : _GEN_100; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_102 = 8'h66 == io_addr ? 8'h1 : _GEN_101; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_103 = 8'h67 == io_addr ? 8'h1 : _GEN_102; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_104 = 8'h68 == io_addr ? 8'h1 : _GEN_103; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_105 = 8'h69 == io_addr ? 8'h1 : _GEN_104; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_106 = 8'h6a == io_addr ? 8'h1 : _GEN_105; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_107 = 8'h6b == io_addr ? 8'h1 : _GEN_106; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_108 = 8'h6c == io_addr ? 8'h1 : _GEN_107; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_109 = 8'h6d == io_addr ? 8'h1 : _GEN_108; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_110 = 8'h6e == io_addr ? 8'h1 : _GEN_109; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_111 = 8'h6f == io_addr ? 8'h1 : _GEN_110; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_112 = 8'h70 == io_addr ? 8'h1 : _GEN_111; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_113 = 8'h71 == io_addr ? 8'h1 : _GEN_112; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_114 = 8'h72 == io_addr ? 8'h1 : _GEN_113; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_115 = 8'h73 == io_addr ? 8'h1 : _GEN_114; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_116 = 8'h74 == io_addr ? 8'h1 : _GEN_115; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_117 = 8'h75 == io_addr ? 8'h1 : _GEN_116; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_118 = 8'h76 == io_addr ? 8'h1 : _GEN_117; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_119 = 8'h77 == io_addr ? 8'h1 : _GEN_118; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_120 = 8'h78 == io_addr ? 8'h1 : _GEN_119; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_121 = 8'h79 == io_addr ? 8'h1 : _GEN_120; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_122 = 8'h7a == io_addr ? 8'h1 : _GEN_121; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_123 = 8'h7b == io_addr ? 8'h1 : _GEN_122; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_124 = 8'h7c == io_addr ? 8'h1 : _GEN_123; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_125 = 8'h7d == io_addr ? 8'h1 : _GEN_124; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_126 = 8'h7e == io_addr ? 8'h1 : _GEN_125; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_127 = 8'h7f == io_addr ? 8'h1 : _GEN_126; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_128 = 8'h80 == io_addr ? 8'h1 : _GEN_127; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_129 = 8'h81 == io_addr ? 8'h1 : _GEN_128; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_130 = 8'h82 == io_addr ? 8'h1 : _GEN_129; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_131 = 8'h83 == io_addr ? 8'h1 : _GEN_130; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_132 = 8'h84 == io_addr ? 8'h1 : _GEN_131; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_133 = 8'h85 == io_addr ? 8'h1 : _GEN_132; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_134 = 8'h86 == io_addr ? 8'h1 : _GEN_133; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_135 = 8'h87 == io_addr ? 8'h1 : _GEN_134; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_136 = 8'h88 == io_addr ? 8'h1 : _GEN_135; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_137 = 8'h89 == io_addr ? 8'h1 : _GEN_136; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_138 = 8'h8a == io_addr ? 8'h1 : _GEN_137; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_139 = 8'h8b == io_addr ? 8'h1 : _GEN_138; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_140 = 8'h8c == io_addr ? 8'h1 : _GEN_139; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_141 = 8'h8d == io_addr ? 8'h1 : _GEN_140; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_142 = 8'h8e == io_addr ? 8'h1 : _GEN_141; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_143 = 8'h8f == io_addr ? 8'h1 : _GEN_142; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_144 = 8'h90 == io_addr ? 8'h1 : _GEN_143; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_145 = 8'h91 == io_addr ? 8'h1 : _GEN_144; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_146 = 8'h92 == io_addr ? 8'h1 : _GEN_145; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_147 = 8'h93 == io_addr ? 8'h1 : _GEN_146; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_148 = 8'h94 == io_addr ? 8'h1 : _GEN_147; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_149 = 8'h95 == io_addr ? 8'h1 : _GEN_148; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_150 = 8'h96 == io_addr ? 8'h1 : _GEN_149; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_151 = 8'h97 == io_addr ? 8'h1 : _GEN_150; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_152 = 8'h98 == io_addr ? 8'h1 : _GEN_151; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_153 = 8'h99 == io_addr ? 8'h1 : _GEN_152; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_154 = 8'h9a == io_addr ? 8'h1 : _GEN_153; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_155 = 8'h9b == io_addr ? 8'h1 : _GEN_154; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_156 = 8'h9c == io_addr ? 8'h1 : _GEN_155; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_157 = 8'h9d == io_addr ? 8'h1 : _GEN_156; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_158 = 8'h9e == io_addr ? 8'h1 : _GEN_157; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_159 = 8'h9f == io_addr ? 8'h1 : _GEN_158; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_160 = 8'ha0 == io_addr ? 8'h1 : _GEN_159; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_161 = 8'ha1 == io_addr ? 8'h1 : _GEN_160; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_162 = 8'ha2 == io_addr ? 8'h1 : _GEN_161; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_163 = 8'ha3 == io_addr ? 8'h1 : _GEN_162; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_164 = 8'ha4 == io_addr ? 8'h1 : _GEN_163; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_165 = 8'ha5 == io_addr ? 8'h1 : _GEN_164; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_166 = 8'ha6 == io_addr ? 8'h1 : _GEN_165; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_167 = 8'ha7 == io_addr ? 8'h1 : _GEN_166; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_168 = 8'ha8 == io_addr ? 8'h1 : _GEN_167; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_169 = 8'ha9 == io_addr ? 8'h1 : _GEN_168; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_170 = 8'haa == io_addr ? 8'h1 : _GEN_169; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_171 = 8'hab == io_addr ? 8'h1 : _GEN_170; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_172 = 8'hac == io_addr ? 8'h1 : _GEN_171; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_173 = 8'had == io_addr ? 8'h1 : _GEN_172; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_174 = 8'hae == io_addr ? 8'h1 : _GEN_173; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_175 = 8'haf == io_addr ? 8'h1 : _GEN_174; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_176 = 8'hb0 == io_addr ? 8'h1 : _GEN_175; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_177 = 8'hb1 == io_addr ? 8'h1 : _GEN_176; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_178 = 8'hb2 == io_addr ? 8'h1 : _GEN_177; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_179 = 8'hb3 == io_addr ? 8'h1 : _GEN_178; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_180 = 8'hb4 == io_addr ? 8'h1 : _GEN_179; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_181 = 8'hb5 == io_addr ? 8'h1 : _GEN_180; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_182 = 8'hb6 == io_addr ? 8'h1 : _GEN_181; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_183 = 8'hb7 == io_addr ? 8'h1 : _GEN_182; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_184 = 8'hb8 == io_addr ? 8'h1 : _GEN_183; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_185 = 8'hb9 == io_addr ? 8'h1 : _GEN_184; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_186 = 8'hba == io_addr ? 8'h1 : _GEN_185; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_187 = 8'hbb == io_addr ? 8'h1 : _GEN_186; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_188 = 8'hbc == io_addr ? 8'h1 : _GEN_187; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_189 = 8'hbd == io_addr ? 8'h1 : _GEN_188; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_190 = 8'hbe == io_addr ? 8'h1 : _GEN_189; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_191 = 8'hbf == io_addr ? 8'h1 : _GEN_190; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_192 = 8'hc0 == io_addr ? 8'h1 : _GEN_191; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_193 = 8'hc1 == io_addr ? 8'h1 : _GEN_192; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_194 = 8'hc2 == io_addr ? 8'h1 : _GEN_193; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_195 = 8'hc3 == io_addr ? 8'h1 : _GEN_194; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_196 = 8'hc4 == io_addr ? 8'h1 : _GEN_195; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_197 = 8'hc5 == io_addr ? 8'h1 : _GEN_196; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_198 = 8'hc6 == io_addr ? 8'h1 : _GEN_197; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_199 = 8'hc7 == io_addr ? 8'h1 : _GEN_198; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_200 = 8'hc8 == io_addr ? 8'h1 : _GEN_199; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_201 = 8'hc9 == io_addr ? 8'h1 : _GEN_200; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_202 = 8'hca == io_addr ? 8'h1 : _GEN_201; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_203 = 8'hcb == io_addr ? 8'h1 : _GEN_202; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_204 = 8'hcc == io_addr ? 8'h1 : _GEN_203; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_205 = 8'hcd == io_addr ? 8'h1 : _GEN_204; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_206 = 8'hce == io_addr ? 8'h1 : _GEN_205; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_207 = 8'hcf == io_addr ? 8'h1 : _GEN_206; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_208 = 8'hd0 == io_addr ? 8'h1 : _GEN_207; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_209 = 8'hd1 == io_addr ? 8'h1 : _GEN_208; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_210 = 8'hd2 == io_addr ? 8'h1 : _GEN_209; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_211 = 8'hd3 == io_addr ? 8'h1 : _GEN_210; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_212 = 8'hd4 == io_addr ? 8'h1 : _GEN_211; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_213 = 8'hd5 == io_addr ? 8'h1 : _GEN_212; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_214 = 8'hd6 == io_addr ? 8'h1 : _GEN_213; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_215 = 8'hd7 == io_addr ? 8'h1 : _GEN_214; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_216 = 8'hd8 == io_addr ? 8'h1 : _GEN_215; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_217 = 8'hd9 == io_addr ? 8'h1 : _GEN_216; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_218 = 8'hda == io_addr ? 8'h1 : _GEN_217; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_219 = 8'hdb == io_addr ? 8'h1 : _GEN_218; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_220 = 8'hdc == io_addr ? 8'h1 : _GEN_219; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_221 = 8'hdd == io_addr ? 8'h1 : _GEN_220; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_222 = 8'hde == io_addr ? 8'h1 : _GEN_221; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_223 = 8'hdf == io_addr ? 8'h1 : _GEN_222; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_224 = 8'he0 == io_addr ? 8'h1 : _GEN_223; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_225 = 8'he1 == io_addr ? 8'h1 : _GEN_224; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_226 = 8'he2 == io_addr ? 8'h1 : _GEN_225; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_227 = 8'he3 == io_addr ? 8'h1 : _GEN_226; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_228 = 8'he4 == io_addr ? 8'h1 : _GEN_227; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_229 = 8'he5 == io_addr ? 8'h1 : _GEN_228; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_230 = 8'he6 == io_addr ? 8'h1 : _GEN_229; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_231 = 8'he7 == io_addr ? 8'h1 : _GEN_230; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_232 = 8'he8 == io_addr ? 8'h1 : _GEN_231; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_233 = 8'he9 == io_addr ? 8'h1 : _GEN_232; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_234 = 8'hea == io_addr ? 8'h1 : _GEN_233; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_235 = 8'heb == io_addr ? 8'h1 : _GEN_234; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_236 = 8'hec == io_addr ? 8'h1 : _GEN_235; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_237 = 8'hed == io_addr ? 8'h1 : _GEN_236; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_238 = 8'hee == io_addr ? 8'h1 : _GEN_237; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_239 = 8'hef == io_addr ? 8'h1 : _GEN_238; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_240 = 8'hf0 == io_addr ? 8'h1 : _GEN_239; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_241 = 8'hf1 == io_addr ? 8'h1 : _GEN_240; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_242 = 8'hf2 == io_addr ? 8'h1 : _GEN_241; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_243 = 8'hf3 == io_addr ? 8'h1 : _GEN_242; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_244 = 8'hf4 == io_addr ? 8'h1 : _GEN_243; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_245 = 8'hf5 == io_addr ? 8'h1 : _GEN_244; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_246 = 8'hf6 == io_addr ? 8'h1 : _GEN_245; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_247 = 8'hf7 == io_addr ? 8'h1 : _GEN_246; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_248 = 8'hf8 == io_addr ? 8'h1 : _GEN_247; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_249 = 8'hf9 == io_addr ? 8'h1 : _GEN_248; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_250 = 8'hfa == io_addr ? 8'h1 : _GEN_249; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_251 = 8'hfb == io_addr ? 8'h1 : _GEN_250; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_252 = 8'hfc == io_addr ? 8'h1 : _GEN_251; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_253 = 8'hfd == io_addr ? 8'h1 : _GEN_252; // @[SourceFileReaderModule.scala 27:11]
  wire [7:0] _GEN_254 = 8'hfe == io_addr ? 8'h1 : _GEN_253; // @[SourceFileReaderModule.scala 27:11]
  assign io_data = 8'hff == io_addr ? 8'h1 : _GEN_254; // @[SourceFileReaderModule.scala 27:11]
endmodule
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



Archiver|手机版|小黑屋|risc-v中文社区

GMT+8, 2024-4-29 13:45 , Processed in 0.016330 second(s), 17 queries .

risc-v中文社区论坛 官方网站

Copyright © 2018-2021, risc-v open source

快速回复 返回顶部 返回列表