risc-v中文社区

 找回密码
 立即注册
查看: 881|回复: 0

[原创] create_clock 同一时钟管脚不同输入频率如何进行时钟约束

[复制链接]

347

主题

564

帖子

2237

积分

管理员

Rank: 9Rank: 9Rank: 9

积分
2237
发表于 2022-5-12 23:14:25 | 显示全部楼层 |阅读模式
重点:-add选项。
XDC时序约束
(1)-create_clock
在写.xdc约束⽂件时,要做的第⼀件事情就是使⽤create_clock对进⼊FPGA的时钟进⾏约束。其语法格式如下:
create_clock[-add] [-name <clock_name>] -period <value> [-waveform<edge_list>] <targets>
参数解释:
-name表⽰⽣成的时钟名称
-period表⽰时钟周期,单位为ns
-waveform可以详细描述时钟占空⽐及其上下移位置
<targets>端⼝列表
-add⽤于为⼀个端⼝添加多个时钟约束
例⼦:
create_clock-period 10 -name clk_100 [get_ports clk]
⽣成了⼀个周期为10ns占空⽐为50%的时钟,其名字为clk_100,其端⼝名为clk
create_clock-period 10 -waveform {8 12} -name clk [get_ports clk]
⽣成⼀个周期为10ns上升沿8ns,下降沿2ns的时钟
create_clock-period 10 -name clk_100 [get_ports clk]
create_clock-perioid 6.6 -name clk_150 -add [get_ports clk]
在clk⼀个端⼝上⽣成两个时钟,其周期分别为10ns和6.6ns。如果⼀个系统中同⼀个端⼝在不同时刻会有多种时钟输⼊,可以使⽤-add参数。否则,如果不添加-add参数,后⾯定义的时钟⽆效。

回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



Archiver|手机版|小黑屋|risc-v中文社区

GMT+8, 2024-4-20 12:51 , Processed in 0.013903 second(s), 17 queries .

risc-v中文社区论坛 官方网站

Copyright © 2018-2021, risc-v open source

快速回复 返回顶部 返回列表