risc-v中文社区

 找回密码
 立即注册
查看: 950|回复: 0

[经验] zynq PL扩展以太网UDP通信及代码移值

[复制链接]

347

主题

564

帖子

2237

积分

管理员

Rank: 9Rank: 9Rank: 9

积分
2237
发表于 2022-4-1 16:21:13 | 显示全部楼层 |阅读模式
芯片:XC7Z035ffg676-2 和 88E1518
环境:vivado2017.4
代码参考:(米联公司的)CH33 PL 扩展以太网 UDP 通信(MZ7035FA only)
因为vivado2017太老了,至少要2018,所以我在2018.3版本下移值代码:
1)各个axi stream data fifo的m_axi_aresetn代码要注释掉
2)各个axi stream data fifo的axis_data_count也要注释掉
3)Tri Mode Ethernet MAC这个IP核不能设置为OOC模式,需要设置为Global模式
4)同时在vivado中将这个IP的Source File Properties的IS_MANAGED属性去掉勾选
5)将这个IP中的文件。。。/tri_mode_ethernet_mac_0_1/synth/physical/tri_mode_ethernet_mac_0_rgmii_v2_0_if.v打开,此时发现没有readonly显示了,
     将:
  //----------------------------------------------------------------------------
  // RGMII Transmitter Clock Management :
  //----------------------------------------------------------------------------
   // Instantiate the Output DDR primitive
   ODDR #(
      .DDR_CLK_EDGE  ("SAME_EDGE")
   ) rgmii_txc_ddr (
      .Q             (rgmii_txc_obuf),
      .C             (tx_clk90),
      .CE            (1'b1),
      .D1            (1'b1),
      .D2            (1'b0),
      .R             (tx_reset90),
      .S             (1'b0)
   );

改为:
  //----------------------------------------------------------------------------
  // RGMII Transmitter Clock Management :
  //----------------------------------------------------------------------------
   // Instantiate the Output DDR primitive
   ODDR #(
      .DDR_CLK_EDGE  ("SAME_EDGE")
   ) rgmii_txc_ddr (
      .Q             (rgmii_txc_obuf),
      .C             (tx_clk),
      .CE            (1'b1),
      .D1            (1'b1),
      .D2            (1'b0),
      .R             (tx_reset),
      .S             (1'b0)
   );

回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



Archiver|手机版|小黑屋|risc-v中文社区

GMT+8, 2024-4-21 00:13 , Processed in 0.013578 second(s), 17 queries .

risc-v中文社区论坛 官方网站

Copyright © 2018-2021, risc-v open source

快速回复 返回顶部 返回列表