请选择 进入手机版 | 继续访问电脑版

risc-v中文社区

 找回密码
 立即注册
查看: 1065|回复: 0

[原创] risc-v开发基础 Scala Vector/Set/的实验---(34)

[复制链接]

347

主题

564

帖子

2237

积分

管理员

Rank: 9Rank: 9Rank: 9

积分
2237
发表于 2021-9-9 10:18:38 | 显示全部楼层 |阅读模式
  def main(args: Array[String]): Unit = {
    val requests = Seq("a","b","c","d","e")
    println(requests.head) //a
    println(requests.tail) //List(b, c, d, e)
    val ri = requests.tail.init
    println(ri) //List(b, c, d)
    val rl = requests.tail.scanLeft(requests.head)(_ + " || " + _)
    println(rl) //List(a, a || b, a || b || c, a || b || c || d, a || b || c || d || e) 包含初值a在内
    val rlm = requests.tail.scanLeft(requests.head)(_ + " || " + _).map("!(" + _ + ")")
    println(rlm) //List(!(a), !(a || b), !(a || b || c), !(a || b || c || d), !(a || b || c || d || e))
    val rlmt = "true" :+ requests.tail.scanLeft(requests.head)(_ + " || " + _).map("!(" + _ + ")")
    println(rlmt) //Vector(t, r, u, e, List(!(a), !(a || b), !(a || b || c), !(a || b || c || d), !(a || b || c || d || e)))
    val v = Vector(10,2,30,4,5,6,3)
    val v1 = v :+ 11
    val v7 = Vector(20,21) :+ v
    println(v7) //Vector(20, 21, Vector(10, 2, 30, 4, 5, 6, 3))
    val v8 = Vector(20,21) +: v //中缀操作符,以":"结束,则右边操作数是调用该方法的对象
    println(v8) //Vector(Vector(20, 21), 10, 2, 30, 4, 5, 6, 3)
//    val v2 = v + 12 ////type mismatch,expected string,actual int
    val v3 = v.drop(2) //去掉前2个
    println(v) //Vector(10, 2, 30, 4, 5, 6, 3)
    println(v1) //Vector(10, 2, 30, 4, 5, 6, 3, 11)
    println(v3) //Vector(30, 4, 5, 6, 3)
    println(v) //Vector(10, 2, 30, 4, 5, 6, 3)
    var s1 = Set(1,2,3,4)
    val s2 = s1 + 5
    s1 += 6
    println(s1) //Set(1, 6, 2, 3, 4)
    println(s2) //Set(5, 1, 2, 3, 4)
    s1 += 1
    println(s1)//还是Set(1, 6, 2, 3, 4) set是无序非重复集合
    var v4 = Vector(1,2,3,4,5)
    val v5 = v4 :+ 6
    println(v4) //Vector(1, 2, 3, 4, 5)
    println(v5) //Vector(1, 2, 3, 4, 5, 6)
//    v4 += 6 //type mismatch,expected string,actual int
    val v6 = v5 :+ 6
    println(v6) //Vector(1, 2, 3, 4, 5, 6, 6) //Vector是原序且可重复集合
  }

回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



Archiver|手机版|小黑屋|risc-v中文社区

GMT+8, 2024-3-29 15:41 , Processed in 0.021714 second(s), 17 queries .

risc-v中文社区论坛 官方网站

Copyright © 2018-2021, risc-v open source

快速回复 返回顶部 返回列表