risc-v中文社区

 找回密码
 立即注册
查看: 1254|回复: 0

[原创] 手把手教你开始搭建Risc-v Rocket环境(8)

[复制链接]

347

主题

564

帖子

2237

积分

管理员

Rank: 9Rank: 9Rank: 9

积分
2237
发表于 2021-7-28 13:59:08 | 显示全部楼层 |阅读模式
25:因为前面出现了问题,所以我想全部重新开始。

joe@joe-Inspiron-7460:~/rocketchip/rocket-chip$ git branch --list
* master
joe@joe-Inspiron-7460:~/rocketchip/rocket-chip$ git pull
remote: Counting objects: 18, done.
remote: Compressing objects: 100% (2/2), done.
remote: Total 18 (delta 9), reused 10 (delta 9), pack-reused 7
展开对象中: 100% (18/18), 完成.
来自 https://github.com/ucb-bar/rocket-chip
   304e5506..ef4963d1  master      -> origin/master
   a88865ae..c4dc3b43  plic-option -> origin/plic-option
+ 9ca9f0b5...068a76fd ssp-timing  -> origin/ssp-timing  (强制更新)
更新 304e5506..ef4963d1
Fast-forward
chisel3                                        |  2 +-
src/main/scala/devices/tilelink/Plic.scala     | 13 +++++++-----
src/main/scala/interrupts/NullIntSource.scala  | 24 +++++++++++++++++++++
src/main/scala/subsystem/Configs.scala         |  1 +
src/main/scala/subsystem/HasTiles.scala        | 29 +++++++++++++-------------
src/main/scala/subsystem/RocketSubsystem.scala |  4 ++--
6 files changed, 50 insertions(+), 23 deletions(-)
create mode 100644 src/main/scala/interrupts/NullIntSource.scala
26: 根据chiset3目录中的readme

joe@joe-Inspiron-7460:~/rocketchip/rocket-chip/chisel3$ ls
bin             coreMacros  Makefile   root-doc.txt                src
build.sbt       doc         project    scalastyle-config.xml       target
chiselFrontend  lib         README.md  scalastyle-test-config.xml
joe@joe-Inspiron-7460:~/rocketchip/rocket-chip/chisel3$ cd bin
joe@joe-Inspiron-7460:~/rocketchip/rocket-chip/chisel3/bin$ ls
appall.sh    buildtest.sh  fir2flo.sh  floall.sh   to-fir.sh
buildall.sh  filter        firall.sh   testall.sh
build.sh     filter.cpp    flo2app.sh  test.sh
joe@joe-Inspiron-7460:~/rocketchip/rocket-chip/chisel3/bin$ cd ..
joe@joe-Inspiron-7460:~/rocketchip/rocket-chip/chisel3$ sbt compile
[info] Loading settings from plugins.sbt ...
[info] Loading project definition from /home/joe/rocketchip/rocket-chip/chisel3/project
[info] Updating ProjectRef(uri("file:/home/joe/rocketchip/rocket-chip/chisel3/project/"), "chisel3-build")...
[info] Done updating.
[info] Loading settings from build.sbt ...
[info] Set current project to chisel3 (in build file:/home/joe/rocketchip/rocket-chip/chisel3/)
[info] Executing in batch mode. For better performance use sbt's shell
[info] Updating coreMacros...
[info] Done updating.
[info] Updating chiselFrontend...
[info] Done updating.
[info] Updating ...
[info] Done updating.
[info] Compiling 4 Scala sources to /home/joe/rocketchip/rocket-chip/chisel3/coreMacros/target/scala-2.11/classes ...
[info] Done compiling.
[info] Compiling 14 Scala sources to /home/joe/rocketchip/rocket-chip/chisel3/chiselFrontend/target/scala-2.11/classes ...
[warn] /home/joe/rocketchip/rocket-chip/chisel3/chiselFrontend/src/main/scala/chisel3/core/Aggregate.scala:81:24: method flatten in class Data is deprecated: pending removal once all instances replaced
[warn]     SeqUtils.do_asUInt(flatten.map(_.asUInt()))
[warn]                        ^
[warn] /home/joe/rocketchip/rocket-chip/chisel3/chiselFrontend/src/main/scala/chisel3/core/Aggregate.scala:87:15: method flatten in class Data is deprecated: pending removal once all instances replaced
[warn]     for (x <- flatten) {
[warn]               ^
[warn] /home/joe/rocketchip/rocket-chip/chisel3/chiselFrontend/src/main/scala/chisel3/core/Annotation.scala:47:30: method apply in object Annotation is deprecated: This returns a LegacyAnnotation, use an explicit Annotation type
[warn]   def toFirrtl: Annotation = Annotation(component.toNamed, transformClass, value)
[warn]                              ^
[warn] /home/joe/rocketchip/rocket-chip/chisel3/chiselFrontend/src/main/scala/chisel3/core/Assert.scala:41:57: method lineContent in trait Position is deprecated: Removed from the public API
[warn]     val condStr = s"${p.source.file.name}{p.line} ${p.lineContent.trim}"
[warn]                                                         ^
[warn] /home/joe/rocketchip/rocket-chip/chisel3/chiselFrontend/src/main/scala/chisel3/core/Assert.scala:49:57: method lineContent in trait Position is deprecated: Removed from the public API
[warn]     val condStr = s"${p.source.file.name}{p.line} ${p.lineContent.trim}"
[warn]                                                         ^
[warn] 5 warnings found
[info] Done compiling.
[info] Compiling 28 Scala sources to /home/joe/rocketchip/rocket-chip/chisel3/chiselFrontend/target/scala-2.11/classes ...
[warn] /home/joe/rocketchip/rocket-chip/chisel3/chiselFrontend/src/main/scala/chisel3/core/Aggregate.scala:81:24: method flatten in class Data is deprecated: pending removal once all instances replaced
[warn]     SeqUtils.do_asUInt(flatten.map(_.asUInt()))
[warn]                        ^
[warn] /home/joe/rocketchip/rocket-chip/chisel3/chiselFrontend/src/main/scala/chisel3/core/Aggregate.scala:87:15: method flatten in class Data is deprecated: pending removal once all instances replaced
[warn]     for (x <- flatten) {
[warn]               ^
[warn] /home/joe/rocketchip/rocket-chip/chisel3/chiselFrontend/src/main/scala/chisel3/core/Annotation.scala:47:30: method apply in object Annotation is deprecated: This returns a LegacyAnnotation, use an explicit Annotation type
[warn]   def toFirrtl: Annotation = Annotation(component.toNamed, transformClass, value)
[warn]                              ^
[warn] /home/joe/rocketchip/rocket-chip/chisel3/chiselFrontend/src/main/scala/chisel3/core/Assert.scala:41:57: method lineContent in trait Position is deprecated: Removed from the public API
[warn]     val condStr = s"${p.source.file.name}{p.line} ${p.lineContent.trim}"
[warn]                                                         ^
[warn] /home/joe/rocketchip/rocket-chip/chisel3/chiselFrontend/src/main/scala/chisel3/core/Assert.scala:49:57: method lineContent in trait Position is deprecated: Removed from the public API
[warn]     val condStr = s"${p.source.file.name}{p.line} ${p.lineContent.trim}"
[warn]                                                         ^
[warn] 5 warnings found
[info] Done compiling.
[info] Compiling 29 Scala sources to /home/joe/rocketchip/rocket-chip/chisel3/target/scala-2.11/classes ...
[info] Done compiling.
[success] Total time: 34 s, completed 2018-6-23 21:12:55
27:因为RISCV等环境变量都已存在,所以不需要再定义,直接执行如下命令


joe@joe-Inspiron-7460:~/rocketchip/rocket-chip/riscv-tools$ ./build.sh
。。。。。。
。。。。。。
Installing project riscv-tests

RISC-V Toolchain installation completed!
28:

joe@joe-Inspiron-7460:~/rocketchip/rocket-chip/riscv-tools$ cd ../emulator/
joe@joe-Inspiron-7460:~/rocketchip/rocket-chip/emulator$ make
。。。。。。
。。。。。。
make[1]: Leaving directory '/home/joe/rocketchip/rocket-chip/emulator/generated-src/freechips.rocketchip.system.DefaultConfig'


回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



Archiver|手机版|小黑屋|risc-v中文社区

GMT+8, 2024-4-26 06:43 , Processed in 0.026570 second(s), 17 queries .

risc-v中文社区论坛 官方网站

Copyright © 2018-2021, risc-v open source

快速回复 返回顶部 返回列表