risc-v中文社区

 找回密码
 立即注册
订阅

最新回复

标题 版块/群组 作者 回复/查看 最后发表
ila数据保存和打开attach_img Verilog语言 joe 2022-4-19 01359 joe 2022-4-19 16:34
开源以太网项目Alexforencich Verilog语言 joe 2022-4-18 01731 joe 2022-4-18 15:28
xilinx DDR3 IP核使用代码attach_img heatlevel Verilog语言 joe 2022-4-13 38157 joe 2022-4-18 10:15
WARNING: [Labtools 27-1347] Unable to find file [ ]. Please update hw_device ...attach_img Verilog语言 joe 2022-4-18 02321 joe 2022-4-18 09:34
香山处理器进度attach_img 新闻 joe 2022-4-17 01016 joe 2022-4-17 12:04
verilog的“==”与“===”attach_img Verilog语言 joe 2022-4-12 01074 joe 2022-4-12 16:17
fpga环形振荡器 Verilog语言 joe 2022-4-8 02771 joe 2022-4-8 15:07
microblaze的中断实验attach_img Verilog语言 joe 2022-4-8 02369 joe 2022-4-8 10:37
microblaze访问LMB和AXI BRAM Controllerattach_img Verilog语言 joe 2022-4-8 01815 joe 2022-4-8 10:28
MicroBlaze访问Zynq-7000的OCM Verilog语言 joe 2022-4-7 01747 joe 2022-4-7 14:10
set_property CLOCK_DEDICATED_ROUTE BACKBONEattach_img Verilog语言 joe 2022-4-7 11713 joe 2022-4-7 10:40
PL扩展以太网UDP通信模块端口及实例相关代码 Verilog语言 joe 2022-4-2 1961 joe 2022-4-2 13:44
zynq PL扩展以太网UDP通信及代码移值 Verilog语言 joe 2022-4-1 0950 joe 2022-4-1 16:21
SpinalHDL开发环境——环境搭建新人帖 attach_img SpinalHDL risc-wang 2022-3-15 12182 joe 2022-4-1 16:03
spinal开发环境—Windows福音——解决仿真工具安装问题 SpinalHDL risc-wang 2022-3-16 0897 risc-wang 2022-3-16 06:49
SpinalHDL开发环境—仿真环境attach_img SpinalHDL risc-wang 2022-3-16 01011 risc-wang 2022-3-16 06:43
沁恒CH32V103环境配置及软件基础操作新人帖 CH32 cczzgg 2022-2-22 17523 joe 2022-3-3 08:19
CH32v103点灯程序报错,解决方案 CH32 cczzgg 2022-2-23 06850 cczzgg 2022-2-23 16:20
沁恒CH32V103C8T6点亮LED CH32 cczzgg 2022-2-22 06956 cczzgg 2022-2-22 16:18
c++调用c,而c再调去c++ c/c++ joe 2022-2-17 01093 joe 2022-2-17 08:55
UG1144-xilinx-PetaLinux工具文档:参考指南 (中文版)attachment FPGA joe 2022-1-17 01100 joe 2022-1-17 15:14
线程池代码实验 java joe 2021-12-17 01137 joe 2021-12-17 10:17
麒麟操作系统V10桌面版下springboot+javafx等各种工具集成 java joe 2021-11-11 11393 joe 2021-12-8 09:33
希尔排序 java joe 2021-10-29 01322 joe 2021-10-29 08:55
选择排序 java joe 2021-10-28 01412 joe 2021-10-28 08:45
堆排序 java joe 2021-10-28 01393 joe 2021-10-28 08:42
归并排序attach_img java joe 2021-10-28 01180 joe 2021-10-28 08:38
桶排序 java joe 2021-10-28 01166 joe 2021-10-28 08:33
基数排序 java joe 2021-10-28 01006 joe 2021-10-28 08:31
diplomacy协商之后信号指定连接问题attach_img heatlevel Chisel joe 2021-10-26 21480 joe 2021-10-27 10:51
rocketchip高级参数化机制--Parameters.alterpartial(13) Chisel joe 2021-10-25 11465 joe 2021-10-25 11:36
rocketchip高级参数化机制--必看--终极Config调试实验(12)attach_img heatlevel Chisel joe 2021-10-21 21463 joe 2021-10-21 10:37
rocketchip高级参数化机制--新版本Config调试实验(11) Chisel joe 2021-10-18 11410 joe 2021-10-19 11:11
Option的map filter foreach用法 Scala语言 joe 2021-10-18 11951 joe 2021-10-19 08:27
case class和case object模式匹配实验 Scala语言 joe 2021-10-18 11861 joe 2021-10-18 16:16
scala final用法 Scala语言 joe 2021-10-18 03676 joe 2021-10-18 09:20
risc-v的cake pattern实验 Chisel joe 2021-10-18 02668 joe 2021-10-18 08:43
rocketchip高级参数化机制--Diplomacy实验代码(10)heatlevel Chisel joe 2021-10-14 312107 joe 2021-10-17 20:01
在win7中调试rocket chip源码attach_img Chisel joe 2021-10-17 01191 joe 2021-10-17 09:57
Chisel后篇-Riscv-Rocketchip使用介绍attach_img Chisel joe 2021-10-16 11909 joe 2021-10-16 11:58
RISC-V & rocket-chip目录 Chisel joe 2021-10-16 01338 joe 2021-10-16 11:48
chisel和risc-v设计入门流程attachment Chisel joe 2021-10-16 11120 joe 2021-10-16 11:40
tilelink学习笔记(一)attachment Chisel joe 2021-10-16 01702 joe 2021-10-16 10:30
Diplomacy and TileLink from the Rocket Chip Chisel joe 2021-10-16 01182 joe 2021-10-16 10:17
浅谈乱序执行 CPU risc-v芯片设计 joe 2021-10-16 01362 joe 2021-10-16 10:03
在 Vivado 中对 chisel3 产生的 verilog 代码仿真 Chisel joe 2021-10-16 01091 joe 2021-10-16 09:52
研究 Rocket Chip 的 BSCAN 调试原理 Chisel joe 2021-10-16 01754 joe 2021-10-16 09:48
通过 BSCAN JTAG 对 Rocket Chip 进行调试 Chisel joe 2021-10-16 0966 joe 2021-10-16 09:42
在 Rocket Chip 上挂接 TLRAM Chisel joe 2021-10-16 01032 joe 2021-10-16 09:34
chisel rocketchip在win7 64位下成功实验attach_img Chisel joe 2021-10-14 01172 joe 2021-10-14 15:57


Archiver|手机版|小黑屋|risc-v中文社区

GMT+8, 2024-4-20 13:26 , Processed in 0.021250 second(s), 12 queries .

risc-v中文社区论坛 官方网站

Copyright © 2018-2021, risc-v open source

返回顶部