joe 发表于 2021-9-4 16:12:31

chisel调试 ChiselFlatSpec

在DownTicker帖子中,我们通过继承方式产生硬件,但需要调试时,我们用PeekPokeTester,现在改用ChiselFlatpec:
class DownTickerModuleTester(m:DownTicker) extends PeekPokeTester(m) {
m.reset
expect(m.io.ticker,1)
expect(m.cntReg,2)//java.util.NoSuchElementException: key not found: UInt(Reg in DownTicker) 说明不能用模块内部变量,只能用IO包裹的val io
step(1)
expect(m.io.ticker,0)
//expect(m.cntReg,2)
step(1)
expect(m.io.ticker,0)
//expect(m.cntReg,2)
step(1)
expect(m.io.ticker,1)
//expect(m.cntReg,2)
step(1)
expect(m.io.ticker,0)
//expect(m.cntReg,2)

}
class DownTickerSpec extends ChiselFlatSpec {
"DownTicker 3" should "pass" in {
    iotesters.Driver(()=>new DownTicker(3)){
      c=>new DownTickerModuleTester(c)
    }
}
}


joe 发表于 2021-9-4 16:14:30

屏幕截图:




页: [1]
查看完整版本: chisel调试 ChiselFlatSpec