joe 发表于 2021-9-1 17:04:41

chisel中的条件判断when

一般来说,条件分支判断用if,else,在chisel中是否还是这样呢?
在chisel中不能再用scala语言级的if,else条件分支判断,只能用when,elsewhen,otherwise来代替。实验如下:
import chisel3._
class ParamAdder(n:Int) extends Module {
val io = IO(new Bundle {
    val a = Input(UInt(n.W))
    val b = Input(UInt(n.W))
    val c = Output(UInt(n.W))
})
io.c := io.a + io.b
}
class TestParamAdderModule extends Module {
val io = IO(new Bundle {
    val a8 = Input(UInt(8.W))
    val b8 = Input(UInt(8.W))
    val out8 = Output(UInt(8.W))
    val a16 = Input(UInt(16.W))
    val b16 = Input(UInt(16.W))
    val out16 = Output(UInt(16.W))
    val o = Output(UInt(8.W))
})
val add8 = Module(new ParamAdder(8))
val add16 = Module(new ParamAdder(16))

add8.io.a := io.a8
add8.io.b := io.b8
io.out8 := add8.io.c
add16.io.a := io.a16
add16.io.b := io.b16
io.out16 := add16.io.c

def myMux (sel:Bool,a:T,b:T): T = {
    val ret = WireDefault(a)
    when(sel) {
      ret := a
    } otherwise {
      ret := b
    }
    ret
}
    io.o := myMux(add8.io.a === 10.U, add8.io.a, add8.io.b)
}
上面代码的when(sel){...}是很正常的逻辑处理,生成的也是assign io_o = _T ? add8_io_a : add8_io_b;这样的MUX代码,具体如下:
module ParamAdder(
input io_a,
input io_b,
output io_c
);
assign io_c = io_a + io_b; // @
endmodule
module ParamAdder_1(
input io_a,
input io_b,
output io_c
);
assign io_c = io_a + io_b; // @
endmodule
module TestParamAdderModule(
input         clock,
input         reset,
inputio_a8,
inputio_b8,
output io_out8,
input io_a16,
input io_b16,
output io_out16,
output io_o
);
wire add8_io_a; // @
wire add8_io_b; // @
wire add8_io_c; // @
wire add16_io_a; // @
wire add16_io_b; // @
wire add16_io_c; // @
wire_T = add8_io_a == 8'ha; // @
ParamAdder add8 ( // @
    .io_a(add8_io_a),
    .io_b(add8_io_b),
    .io_c(add8_io_c)
);
ParamAdder_1 add16 ( // @
    .io_a(add16_io_a),
    .io_b(add16_io_b),
    .io_c(add16_io_c)
);
assign io_out8 = add8_io_c; // @
assign io_out16 = add16_io_c; // @
assign io_o = _T ? add8_io_a : add8_io_b; // @
assign add8_io_a = io_a8; // @
assign add8_io_b = io_b8; // @
assign add16_io_a = io_a16; // @
assign add16_io_b = io_b16; // @
endmodule


但如果将when(sel)换成if判断呢?
//if(sel.litToBoolean) {//如果用sel.litToBe=oolean则出现:java.util.NoSuchElementException: None.get
        if(sel.litValue() == 1) { //sel.litValue() == 1出现:java.util.NoSuchElementException: None.get
          ret := a
        }
        else {
          ret := b
        }
总结:在chisel中不要用scala语言级的if,else来做硬件连接值的逻辑判断处理,必须要用when,elsewhen,otherwise。

页: [1]
查看完整版本: chisel中的条件判断when